vhdl.syntax 5.0 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193
  1. # Adam Pribyl, based on ADA
  2. # modified: Andrew Borodin
  3. # missing
  4. # literal, on, (something else)
  5. caseinsensitive
  6. context default
  7. #wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
  8. keyword whole with yellow
  9. keyword whole select yellow
  10. keyword whole use yellow
  11. keyword whole is yellow
  12. keyword whole of yellow
  13. keyword whole length yellow
  14. keyword whole range yellow
  15. keyword whole left yellow
  16. keyword whole right yellow
  17. keyword whole delta yellow
  18. keyword whole return yellow
  19. keyword whole next yellow
  20. keyword whole null yellow
  21. keyword whole array yellow
  22. keyword whole downto yellow
  23. keyword whole to yellow
  24. keyword whole foreign yellow
  25. # comment
  26. keyword -- magenta
  27. # expressions
  28. keyword := brightgreen
  29. keyword . brightgreen
  30. keyword ; brightgreen
  31. keyword : brightgreen
  32. keyword , brightgreen
  33. keyword ' brightgreen
  34. keyword | brightgreen
  35. keyword ( brightgreen
  36. keyword ) brightgreen
  37. keyword [ brightgreen
  38. keyword ] brightgreen
  39. keyword \+ brightgreen
  40. keyword - brightgreen
  41. keyword / brightgreen
  42. keyword \* brightgreen
  43. keyword \*\* brightgreen
  44. keyword # brightgreen
  45. keyword & brightgreen
  46. keyword => brightgreen
  47. keyword < brightgreen
  48. keyword > brightgreen
  49. keyword <= brightgreen
  50. keyword >= brightgreen
  51. keyword = brightgreen
  52. keyword /= brightgreen
  53. # operators and functions
  54. keyword whole sll green
  55. keyword whole srl green
  56. keyword whole sla green
  57. keyword whole sra green
  58. keyword whole rol green
  59. keyword whole ror green
  60. keyword whole rem green
  61. keyword whole mod green
  62. keyword whole not green
  63. keyword whole and green
  64. keyword whole nand green
  65. keyword whole or green
  66. keyword whole xor green
  67. keyword whole nor green
  68. keyword whole xnor green
  69. keyword whole abs green
  70. keyword whole new green
  71. keyword whole begin yellow
  72. keyword whole end yellow
  73. keyword whole exit yellow
  74. keyword whole for yellow
  75. keyword whole while yellow
  76. keyword whole if yellow
  77. keyword whole then yellow
  78. keyword whole else yellow
  79. keyword whole elsif yellow
  80. keyword whole case yellow
  81. keyword whole when yellow
  82. keyword whole with yellow
  83. keyword whole select yellow
  84. keyword whole assert yellow
  85. keyword whole wait yellow
  86. keyword whole open yellow
  87. keyword whole loop yellow
  88. keyword whole until yellow
  89. keyword whole others yellow
  90. keyword whole all yellow
  91. keyword whole block yellow
  92. keyword whole guarded yellow
  93. keyword whole after yellow
  94. keyword whole transport yellow
  95. keyword whole inertial yellow
  96. keyword whole reject yellow
  97. keyword whole unaffected yellow
  98. keyword whole disconnect yellow
  99. # types and related stuff
  100. keyword whole integer cyan
  101. keyword whole natural cyan
  102. keyword whole positive cyan
  103. keyword whole string cyan
  104. keyword whole character cyan
  105. keyword whole boolean cyan
  106. keyword whole real cyan
  107. keyword whole bit cyan
  108. keyword whole bit_vector cyan
  109. keyword whole time cyan
  110. keyword whole units cyan
  111. keyword whole std_logic cyan
  112. keyword whole std_logic_vector cyan
  113. keyword whole severity_level cyan
  114. # boolean values
  115. keyword whole true brightred
  116. keyword whole false brightred
  117. # time values
  118. keyword whole fs brightred
  119. keyword whole ps brightred
  120. keyword whole ns brightred
  121. keyword whole us brightred
  122. keyword whole ms brightred
  123. keyword whole sec brightred
  124. keyword whole min brightred
  125. keyword whole hr brightred
  126. # declarations
  127. keyword whole type brightcyan
  128. keyword whole subtype brightcyan
  129. keyword whole variable yellow
  130. keyword whole shared yellow
  131. keyword whole signal yellow
  132. keyword whole constant yellow
  133. keyword whole group yellow
  134. keyword whole file yellow
  135. keyword whole register yellow
  136. keyword whole port yellow
  137. keyword whole map yellow
  138. keyword whole label yellow
  139. keyword whole record yellow
  140. keyword whole generic yellow
  141. keyword whole alias yellow
  142. keyword whole attribute yellow
  143. # ports
  144. keyword whole in white
  145. keyword whole out white
  146. keyword whole inout white
  147. keyword whole buffer white
  148. keyword whole linkage white
  149. keyword whole bus white
  150. # library units
  151. keyword whole library yellow
  152. keyword whole entity yellow
  153. keyword whole architecture yellow
  154. keyword whole package yellow
  155. keyword whole body yellow
  156. keyword whole procedure yellow
  157. keyword whole function yellow
  158. keyword whole pure yellow
  159. keyword whole impure yellow
  160. keyword whole configuration yellow
  161. keyword whole component yellow
  162. keyword whole generic yellow
  163. keyword whole process yellow
  164. keyword whole postponded yellow
  165. keyword whole generate yellow
  166. # reports
  167. keyword whole report red
  168. keyword whole severity red
  169. keyword whole note red
  170. keyword whole warning red
  171. keyword whole error red
  172. keyword whole failure red
  173. # comment
  174. context exclusive -- \n magenta
  175. context " " green