verilog.syntax 20 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592
  1. # This is Cooledit syntax-file for Verilog and SystemVerilog
  2. # Created by Andres Farfan, <nafraf@linuxmail.org>
  3. # Updated by Andrei Purdea, <andrei@purdea.ro>
  4. # Feel free to copy & modify this.
  5. # 09/2004
  6. wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
  7. # default colors
  8. context default
  9. #Compiler directives
  10. keyword whole `__FILE__ brightred/
  11. keyword whole `__LINE__ brightred/
  12. keyword whole `begin_keywords brightred/
  13. keyword whole `celldefine brightred/
  14. keyword whole `default_nettype brightred/
  15. keyword whole `define brightred/
  16. keyword whole `else brightred/
  17. keyword whole `elsif brightred/
  18. keyword whole `end_keywords brightred/
  19. keyword whole `endcelldefine brightred/
  20. keyword whole `endif brightred/
  21. keyword whole `ifdef brightred/
  22. keyword whole `ifndef brightred/
  23. keyword whole `include brightred/
  24. keyword whole `line brightred/
  25. keyword whole `nounconnected_drive brightred/
  26. keyword whole `pragma brightred/
  27. keyword whole `resetall brightred/
  28. keyword whole `timescale brightred/
  29. keyword whole `unconnected_drive brightred/
  30. keyword whole `undef brightred/
  31. keyword whole `undefineall brightred/
  32. #Other -- these are not defined in the verilog standards, may be vendor-specific:
  33. keyword whole `accelerate brightred/
  34. keyword whole `autoexpand_vectornets brightred/
  35. keyword whole `endprotect brightred/
  36. keyword whole `endprotected brightred/
  37. keyword whole `expand_vectornets brightred/
  38. keyword whole `noaccelerate brightred/
  39. keyword whole `noexpand_vectornets brightred/
  40. keyword whole `noremove_gatenames brightred/
  41. keyword whole `noremove_netnames brightred/
  42. keyword whole `protect brightred/
  43. keyword whole `protected brightred/
  44. keyword whole `remove_gatenames brightred/
  45. keyword whole `remove_netnames brightred/
  46. keyword whole `signed brightred/
  47. keyword whole `unsigned brightred/
  48. #Reserved Keywords IEEE1364-1995
  49. keyword whole always yellow
  50. keyword whole and yellow
  51. keyword whole assign yellow
  52. keyword whole begin yellow
  53. keyword whole buf yellow
  54. keyword whole bufif0 yellow
  55. keyword whole bufif1 yellow
  56. keyword whole case yellow
  57. keyword whole casex yellow
  58. keyword whole casez yellow
  59. keyword whole cmos yellow
  60. keyword whole deassign yellow
  61. keyword whole default yellow
  62. keyword whole defparam yellow
  63. keyword whole disable yellow
  64. keyword whole edge yellow
  65. keyword whole else yellow
  66. keyword whole end yellow
  67. keyword whole endcase yellow
  68. keyword whole endmodule yellow
  69. keyword whole endfunction yellow
  70. keyword whole endprimitive yellow
  71. keyword whole endspecify yellow
  72. keyword whole endtable yellow
  73. keyword whole endtask yellow
  74. keyword whole event yellow
  75. keyword whole for yellow
  76. keyword whole force yellow
  77. keyword whole forever yellow
  78. keyword whole fork yellow
  79. keyword whole function yellow
  80. keyword whole highz0 yellow
  81. keyword whole highz1 yellow
  82. keyword whole if yellow
  83. keyword whole ifnone yellow
  84. keyword whole initial yellow
  85. keyword whole inout yellow
  86. keyword whole input yellow
  87. keyword whole integer yellow
  88. keyword whole join yellow
  89. keyword whole large yellow
  90. keyword whole macromodule yellow
  91. keyword whole medium yellow
  92. keyword whole module yellow
  93. keyword whole nand yellow
  94. keyword whole negedge yellow
  95. keyword whole nmos yellow
  96. keyword whole nor yellow
  97. keyword whole not yellow
  98. keyword whole notif0 yellow
  99. keyword whole notif1 yellow
  100. keyword whole or yellow
  101. keyword whole output yellow
  102. keyword whole parameter yellow
  103. keyword whole pmos yellow
  104. keyword whole posedge yellow
  105. keyword whole primitive yellow
  106. keyword whole pull0 yellow
  107. keyword whole pull1 yellow
  108. keyword whole pullup yellow
  109. keyword whole pulldown yellow
  110. keyword whole rcmos yellow
  111. keyword whole real yellow
  112. keyword whole realtime yellow
  113. keyword whole reg yellow
  114. keyword whole release yellow
  115. keyword whole repeat yellow
  116. keyword whole rnmos yellow
  117. keyword whole rpmos yellow
  118. keyword whole rtran yellow
  119. keyword whole rtranif0 yellow
  120. keyword whole rtranif1 yellow
  121. keyword whole scalared yellow
  122. keyword whole small yellow
  123. keyword whole specify yellow
  124. keyword whole specparam yellow
  125. keyword whole strong0 yellow
  126. keyword whole strong1 yellow
  127. keyword whole supply0 yellow
  128. keyword whole supply1 yellow
  129. keyword whole table yellow
  130. keyword whole task yellow
  131. keyword whole time yellow
  132. keyword whole tran yellow
  133. keyword whole tranif0 yellow
  134. keyword whole tranif1 yellow
  135. keyword whole tri yellow
  136. keyword whole tri0 yellow
  137. keyword whole tri1 yellow
  138. keyword whole triand yellow
  139. keyword whole trior yellow
  140. keyword whole trireg yellow
  141. keyword whole vectored yellow
  142. keyword whole wait yellow
  143. keyword whole wand yellow
  144. keyword whole weak0 yellow
  145. keyword whole weak1 yellow
  146. keyword whole while yellow
  147. keyword whole wire yellow
  148. keyword whole wor yellow
  149. keyword whole xnor yellow
  150. keyword whole xor yellow
  151. #Reserved Keywords IEEE1364-2001
  152. keyword whole automatic yellow
  153. keyword whole cell yellow
  154. keyword whole config yellow
  155. keyword whole design yellow
  156. keyword whole endconfig yellow
  157. keyword whole endgenerate yellow
  158. keyword whole generate yellow
  159. keyword whole genvar yellow
  160. keyword whole incdir yellow
  161. keyword whole include yellow
  162. keyword whole instance yellow
  163. keyword whole liblist yellow
  164. keyword whole library yellow
  165. keyword whole localparam yellow
  166. keyword whole noshowcancelled yellow
  167. keyword whole pulsestyle_ondetect yellow
  168. keyword whole pulsestyle_onevent yellow
  169. keyword whole showcancelled yellow
  170. keyword whole signed yellow
  171. keyword whole unsigned yellow
  172. keyword whole use yellow
  173. #Reserved Keywords IEEE1364-2005
  174. keyword whole uwire yellow
  175. #Reserved Keywords IEEE1800-2005
  176. keyword whole alias yellow
  177. keyword whole always_comb yellow
  178. keyword whole always_ff yellow
  179. keyword whole always_latch yellow
  180. keyword whole assert yellow
  181. keyword whole assume yellow
  182. keyword whole before yellow
  183. keyword whole bind yellow
  184. keyword whole bins yellow
  185. keyword whole binsof yellow
  186. keyword whole bit yellow
  187. keyword whole break yellow
  188. keyword whole byte yellow
  189. keyword whole chandle yellow
  190. keyword whole class yellow
  191. keyword whole clocking yellow
  192. keyword whole const yellow
  193. keyword whole constraint yellow
  194. keyword whole context yellow
  195. keyword whole continue yellow
  196. keyword whole cover yellow
  197. keyword whole covergroup yellow
  198. keyword whole coverpoint yellow
  199. keyword whole cross yellow
  200. keyword whole dist yellow
  201. keyword whole do yellow
  202. keyword whole endclass yellow
  203. keyword whole endclocking yellow
  204. keyword whole endgroup yellow
  205. keyword whole endinterface yellow
  206. keyword whole endpackage yellow
  207. keyword whole endprogram yellow
  208. keyword whole endproperty yellow
  209. keyword whole endsequence yellow
  210. keyword whole enum yellow
  211. keyword whole expect yellow
  212. keyword whole export yellow
  213. keyword whole extends yellow
  214. keyword whole extern yellow
  215. keyword whole final yellow
  216. keyword whole first_match yellow
  217. keyword whole foreach yellow
  218. keyword whole forkjoin yellow
  219. keyword whole iff yellow
  220. keyword whole ignore_bins yellow
  221. keyword whole illegal_bins yellow
  222. keyword whole import yellow
  223. keyword whole inside yellow
  224. keyword whole int yellow
  225. keyword whole interface yellow
  226. keyword whole intersect yellow
  227. keyword whole join_any yellow
  228. keyword whole join_none yellow
  229. keyword whole local yellow
  230. keyword whole logic yellow
  231. keyword whole longint yellow
  232. keyword whole matches yellow
  233. keyword whole modport yellow
  234. keyword whole new yellow
  235. keyword whole null yellow
  236. keyword whole package yellow
  237. keyword whole packed yellow
  238. keyword whole priority yellow
  239. keyword whole program yellow
  240. keyword whole property yellow
  241. keyword whole protected yellow
  242. keyword whole pure yellow
  243. keyword whole rand yellow
  244. keyword whole randc yellow
  245. keyword whole randcase yellow
  246. keyword whole randsequence yellow
  247. keyword whole ref yellow
  248. keyword whole return yellow
  249. keyword whole sequence yellow
  250. keyword whole shortint yellow
  251. keyword whole shortreal yellow
  252. keyword whole solve yellow
  253. keyword whole static yellow
  254. keyword whole string yellow
  255. keyword whole struct yellow
  256. keyword whole super yellow
  257. keyword whole tagged yellow
  258. keyword whole this yellow
  259. keyword whole throughout yellow
  260. keyword whole timeprecision yellow
  261. keyword whole timeunit yellow
  262. keyword whole type yellow
  263. keyword whole typedef yellow
  264. keyword whole union yellow
  265. keyword whole unique yellow
  266. keyword whole var yellow
  267. keyword whole virtual yellow
  268. keyword whole void yellow
  269. keyword whole wait_order yellow
  270. keyword whole wildcard yellow
  271. keyword whole with yellow
  272. keyword whole within yellow
  273. #Reserved Keywords IEEE1800-2009
  274. keyword whole accept_on yellow
  275. keyword whole checker yellow
  276. keyword whole endchecker yellow
  277. keyword whole eventually
  278. keyword whole global yellow
  279. keyword whole implies yellow
  280. keyword whole let yellow
  281. keyword whole nexttime yellow
  282. keyword whole reject_on yellow
  283. keyword whole restrict yellow
  284. keyword whole s_always yellow
  285. keyword whole s_eventually yellow
  286. keyword whole s_nexttime yellow
  287. keyword whole s_until yellow
  288. keyword whole s_until_with yellow
  289. keyword whole strong yellow
  290. keyword whole sync_accept_on yellow
  291. keyword whole sync_reject_on yellow
  292. keyword whole unique0 yellow
  293. keyword whole until yellow
  294. keyword whole until_with yellow
  295. keyword whole untyped yellow
  296. keyword whole weak yellow
  297. #Reserved Keywords IEEE1800-2012
  298. keyword whole implements yellow
  299. keyword whole interconnect yellow
  300. keyword whole nettype yellow
  301. keyword whole soft yellow
  302. #Utility system tasks and system functions
  303. keyword whole $acos yellow
  304. keyword whole $acosh yellow
  305. keyword whole $asin yellow
  306. keyword whole $asinh yellow
  307. keyword whole $assertcontrol yellow
  308. keyword whole $assertfailoff yellow
  309. keyword whole $assertfailon yellow
  310. keyword whole $assertkill yellow
  311. keyword whole $assertnonvacuouson yellow
  312. keyword whole $assertoff yellow
  313. keyword whole $asserton yellow
  314. keyword whole $assertpassoff yellow
  315. keyword whole $assertpasson yellow
  316. keyword whole $assertvacuousoff yellow
  317. keyword whole $async$and$array yellow
  318. keyword whole $async$and$plane yellow
  319. keyword whole $async$nand$array yellow
  320. keyword whole $async$nand$plane yellow
  321. keyword whole $async$nor$array yellow
  322. keyword whole $async$nor$plane yellow
  323. keyword whole $async$or$array yellow
  324. keyword whole $async$or$plane yellow
  325. keyword whole $atan yellow
  326. keyword whole $atan2 yellow
  327. keyword whole $atanh yellow
  328. keyword whole $bits yellow
  329. keyword whole $bitstoreal yellow
  330. keyword whole $bitstoshortreal yellow
  331. keyword whole $cast yellow
  332. keyword whole $ceil yellow
  333. keyword whole $changed yellow
  334. keyword whole $changed_gclk yellow
  335. keyword whole $changing_gclk yellow
  336. keyword whole $clog2 yellow
  337. keyword whole $cos yellow
  338. keyword whole $cosh yellow
  339. keyword whole $countbits yellow
  340. keyword whole $countones yellow
  341. keyword whole $coverage_control yellow
  342. keyword whole $coverage_get yellow
  343. keyword whole $coverage_get_max yellow
  344. keyword whole $coverage_merge yellow
  345. keyword whole $coverage_save yellow
  346. keyword whole $dimensions yellow
  347. keyword whole $dist_chi_square yellow
  348. keyword whole $dist_erlang yellow
  349. keyword whole $dist_exponential yellow
  350. keyword whole $dist_normal yellow
  351. keyword whole $dist_poisson yellow
  352. keyword whole $dist_t yellow
  353. keyword whole $dist_uniform yellow
  354. keyword whole $error yellow
  355. keyword whole $error yellow
  356. keyword whole $exit yellow
  357. keyword whole $exp yellow
  358. keyword whole $falling_gclk yellow
  359. keyword whole $fatal yellow
  360. keyword whole $fatal yellow
  361. keyword whole $fell yellow
  362. keyword whole $fell_gclk yellow
  363. keyword whole $finish yellow
  364. keyword whole $floor yellow
  365. keyword whole $future_gclk yellow
  366. keyword whole $get_coverage yellow
  367. keyword whole $high yellow
  368. keyword whole $hypot yellow
  369. keyword whole $increment yellow
  370. keyword whole $info yellow
  371. keyword whole $info yellow
  372. keyword whole $isunbounded yellow
  373. keyword whole $isunknown yellow
  374. keyword whole $itor yellow
  375. keyword whole $left yellow
  376. keyword whole $ln yellow
  377. keyword whole $load_coverage_db yellow
  378. keyword whole $log10 yellow
  379. keyword whole $low yellow
  380. keyword whole $onehot yellow
  381. keyword whole $onehot0 yellow
  382. keyword whole $past yellow
  383. keyword whole $past_gclk yellow
  384. keyword whole $pow yellow
  385. keyword whole $printtimescale yellow
  386. keyword whole $q_add yellow
  387. keyword whole $q_exam yellow
  388. keyword whole $q_full yellow
  389. keyword whole $q_initialize yellow
  390. keyword whole $q_remove yellow
  391. keyword whole $random yellow
  392. keyword whole $realtime yellow
  393. keyword whole $realtobits yellow
  394. keyword whole $right yellow
  395. keyword whole $rising_gclk yellow
  396. keyword whole $rose yellow
  397. keyword whole $rose_gclk yellow
  398. keyword whole $rtoi yellow
  399. keyword whole $sampled yellow
  400. keyword whole $set_coverage_db_name yellow
  401. keyword whole $shortrealtobits yellow
  402. keyword whole $signed yellow
  403. keyword whole $sin yellow
  404. keyword whole $sinh yellow
  405. keyword whole $size yellow
  406. keyword whole $sqrt yellow
  407. keyword whole $stable yellow
  408. keyword whole $stable_gclk yellow
  409. keyword whole $steady_gclk yellow
  410. keyword whole $stime yellow
  411. keyword whole $stop yellow
  412. keyword whole $sync$and$array yellow
  413. keyword whole $sync$and$plane yellow
  414. keyword whole $sync$nand$array yellow
  415. keyword whole $sync$nand$plane yellow
  416. keyword whole $sync$nor$array yellow
  417. keyword whole $sync$nor$plane yellow
  418. keyword whole $sync$or$array yellow
  419. keyword whole $sync$or$plane yellow
  420. keyword whole $system yellow
  421. keyword whole $tan yellow
  422. keyword whole $tanh yellow
  423. keyword whole $time yellow
  424. keyword whole $timeformat yellow
  425. keyword whole $typename yellow
  426. keyword whole $unpacked_dimensions yellow
  427. keyword whole $unsigned yellow
  428. keyword whole $warning yellow
  429. #Input/output system tasks and system functions
  430. keyword whole $display yellow
  431. keyword whole $displayb yellow
  432. keyword whole $displayh yellow
  433. keyword whole $displayo yellow
  434. keyword whole $dumpall yellow
  435. keyword whole $dumpfile yellow
  436. keyword whole $dumpflush yellow
  437. keyword whole $dumplimit yellow
  438. keyword whole $dumpoff yellow
  439. keyword whole $dumpon yellow
  440. keyword whole $dumpports yellow
  441. keyword whole $dumpportsall yellow
  442. keyword whole $dumpportsflush yellow
  443. keyword whole $dumpportslimit yellow
  444. keyword whole $dumpportsoff yellow
  445. keyword whole $dumpportson yellow
  446. keyword whole $dumpvars yellow
  447. keyword whole $fclose yellow
  448. keyword whole $fdisplay yellow
  449. keyword whole $fdisplayb yellow
  450. keyword whole $fdisplayh yellow
  451. keyword whole $fdisplayo yellow
  452. keyword whole $feof yellow
  453. keyword whole $ferror yellow
  454. keyword whole $fflush yellow
  455. keyword whole $fgetc yellow
  456. keyword whole $fgets yellow
  457. keyword whole $fmonitor yellow
  458. keyword whole $fmonitorb yellow
  459. keyword whole $fmonitorh yellow
  460. keyword whole $fmonitoro yellow
  461. keyword whole $fopen yellow
  462. keyword whole $fread yellow
  463. keyword whole $fscanf yellow
  464. keyword whole $fseek yellow
  465. keyword whole $fstrobe yellow
  466. keyword whole $fstrobeb yellow
  467. keyword whole $fstrobeh yellow
  468. keyword whole $fstrobeo yellow
  469. keyword whole $ftell yellow
  470. keyword whole $fwrite yellow
  471. keyword whole $fwriteb yellow
  472. keyword whole $fwriteh yellow
  473. keyword whole $fwriteo yellow
  474. keyword whole $monitor yellow
  475. keyword whole $monitorb yellow
  476. keyword whole $monitorh yellow
  477. keyword whole $monitoro yellow
  478. keyword whole $monitoroff yellow
  479. keyword whole $monitoron yellow
  480. keyword whole $readmemb yellow
  481. keyword whole $readmemh yellow
  482. keyword whole $rewind yellow
  483. keyword whole $sformat yellow
  484. keyword whole $sformatf yellow
  485. keyword whole $sscanf yellow
  486. keyword whole $strobe yellow
  487. keyword whole $strobeb yellow
  488. keyword whole $strobeh yellow
  489. keyword whole $strobeo yellow
  490. keyword whole $swrite yellow
  491. keyword whole $swriteb yellow
  492. keyword whole $swriteh yellow
  493. keyword whole $swriteo yellow
  494. keyword whole $test$plusargs yellow
  495. keyword whole $ungetc yellow
  496. keyword whole $value$plusargs yellow
  497. keyword whole $write yellow
  498. keyword whole $writeb yellow
  499. keyword whole $writeh yellow
  500. keyword whole $writememb yellow
  501. keyword whole $writememh yellow
  502. keyword whole $writeo yellow
  503. #Timing checks
  504. keyword whole $fullskew yellow
  505. keyword whole $hold yellow
  506. keyword whole $nochange yellow
  507. keyword whole $period yellow
  508. keyword whole $recovery yellow
  509. keyword whole $recrem yellow
  510. keyword whole $removal yellow
  511. keyword whole $setup yellow
  512. keyword whole $setuphold yellow
  513. keyword whole $skew yellow
  514. keyword whole $timeskew yellow
  515. keyword whole $width yellow
  516. #Other items (these are not specified in any verilog standard. These may be vendor-specific or deprecated):
  517. keyword whole $history yellow
  518. keyword whole $showvariables yellow
  519. #Optional system tasks and system functions
  520. keyword whole $countdrivers yellow
  521. keyword whole $getpattern yellow
  522. keyword whole $incsave yellow
  523. keyword whole $input yellow
  524. keyword whole $key yellow
  525. keyword whole $list yellow
  526. keyword whole $log yellow
  527. keyword whole $nokey yellow
  528. keyword whole $nolog yellow
  529. keyword whole $reset yellow
  530. keyword whole $reset_count yellow
  531. keyword whole $reset_value yellow
  532. keyword whole $restart yellow
  533. keyword whole $save yellow
  534. keyword whole $scale yellow
  535. keyword whole $scope yellow
  536. keyword whole $showscopes yellow
  537. keyword whole $showvars yellow
  538. keyword whole $sreadmemb yellow
  539. keyword whole $sreadmemh yellow
  540. keyword > yellow
  541. keyword < yellow
  542. keyword \+ yellow
  543. keyword - yellow
  544. keyword \* yellow
  545. keyword / yellow
  546. keyword % yellow
  547. keyword = yellow
  548. keyword != yellow
  549. keyword == yellow
  550. keyword || yellow
  551. keyword && yellow
  552. keyword { brightcyan
  553. keyword } brightcyan
  554. keyword ( brightcyan
  555. keyword ) brightcyan
  556. keyword [ brightcyan
  557. keyword ] brightcyan
  558. keyword , brightcyan
  559. keyword . brightcyan
  560. keyword : brightcyan
  561. keyword ? brightcyan
  562. keyword ; brightmagenta
  563. keyword | brightmagenta
  564. keyword & brightmagenta
  565. keyword ~ brightmagenta
  566. keyword ^ brightmagenta
  567. context exclusive /\* \*/ brown
  568. spellcheck
  569. context exclusive // \n brown
  570. spellcheck
  571. context " " green/