spice.syntax 14 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420
  1. caseinsensitive
  2. context default
  3. keyword linestart \**\n brown
  4. keyword $*\n brown
  5. keyword ;*\n brown
  6. keyword //*\n brown
  7. keyword linestart \+ red
  8. # dot commands
  9. keyword whole .ac brightmagenta
  10. keyword whole .control brightmagenta
  11. keyword whole .csparam brightmagenta
  12. keyword whole .dc brightmagenta
  13. keyword whole .disto brightmagenta
  14. keyword whole .else brightmagenta
  15. keyword whole .elseif brightmagenta
  16. keyword whole .end brightmagenta
  17. keyword whole .endc brightmagenta
  18. keyword whole .endif brightmagenta
  19. keyword whole .ends brightmagenta
  20. keyword whole .four brightmagenta
  21. keyword whole .func brightmagenta
  22. keyword whole .global brightmagenta
  23. keyword whole .ic brightmagenta
  24. keyword whole .if brightmagenta
  25. keyword whole .include brightmagenta
  26. keyword whole .lib brightmagenta
  27. keyword whole .meas brightmagenta
  28. keyword whole .model brightmagenta
  29. keyword whole .nodeset brightmagenta
  30. keyword whole .noise brightmagenta
  31. keyword whole .op brightmagenta
  32. keyword whole .options brightmagenta
  33. keyword whole .param brightmagenta
  34. keyword whole .plot brightmagenta
  35. keyword whole .print brightmagenta
  36. keyword whole .probe brightmagenta
  37. keyword whole .pss brightmagenta
  38. keyword whole .pz brightmagenta
  39. keyword whole .save brightmagenta
  40. keyword whole .sens brightmagenta
  41. keyword whole .subckt brightmagenta
  42. keyword whole .temp brightmagenta
  43. keyword whole .tf brightmagenta
  44. keyword whole .title brightmagenta
  45. keyword whole .tran brightmagenta
  46. keyword whole .width brightmagenta
  47. # circuit elements
  48. keyword wholeleft linestart A*\s brightcyan
  49. keyword wholeleft linestart A*\t brightcyan
  50. keyword wholeleft linestart B*\s brightcyan
  51. keyword wholeleft linestart B*\t brightcyan
  52. keyword wholeleft linestart C*\s brightcyan
  53. keyword wholeleft linestart C*\t brightcyan
  54. keyword wholeleft linestart D*\s brightcyan
  55. keyword wholeleft linestart D*\t brightcyan
  56. keyword wholeleft linestart E*\s brightcyan
  57. keyword wholeleft linestart E*\t brightcyan
  58. keyword wholeleft linestart F*\s brightcyan
  59. keyword wholeleft linestart F*\t brightcyan
  60. keyword wholeleft linestart G*\s brightcyan
  61. keyword wholeleft linestart G*\t brightcyan
  62. keyword wholeleft linestart H*\s brightcyan
  63. keyword wholeleft linestart H*\t brightcyan
  64. keyword wholeleft linestart I*\s brightcyan
  65. keyword wholeleft linestart I*\t brightcyan
  66. keyword wholeleft linestart J*\s brightcyan
  67. keyword wholeleft linestart J*\t brightcyan
  68. keyword wholeleft linestart K*\s brightcyan
  69. keyword wholeleft linestart K*\t brightcyan
  70. keyword wholeleft linestart L*\s brightcyan
  71. keyword wholeleft linestart L*\t brightcyan
  72. keyword wholeleft linestart M*\s brightcyan
  73. keyword wholeleft linestart M*\t brightcyan
  74. keyword wholeleft linestart N*\s brightcyan
  75. keyword wholeleft linestart N*\t brightcyan
  76. keyword wholeleft linestart O*\s brightcyan
  77. keyword wholeleft linestart O*\t brightcyan
  78. keyword wholeleft linestart P*\s brightcyan
  79. keyword wholeleft linestart P*\t brightcyan
  80. keyword wholeleft linestart Q*\s brightcyan
  81. keyword wholeleft linestart Q*\t brightcyan
  82. keyword wholeleft linestart R*\s brightcyan
  83. keyword wholeleft linestart R*\t brightcyan
  84. keyword wholeleft linestart S*\s brightcyan
  85. keyword wholeleft linestart S*\t brightcyan
  86. keyword wholeleft linestart T*\s brightcyan
  87. keyword wholeleft linestart T*\t brightcyan
  88. keyword wholeleft linestart U*\s brightcyan
  89. keyword wholeleft linestart U*\t brightcyan
  90. keyword wholeleft linestart V*\s brightcyan
  91. keyword wholeleft linestart V*\t brightcyan
  92. keyword wholeleft linestart W*\s brightcyan
  93. keyword wholeleft linestart W*\t brightcyan
  94. keyword wholeleft linestart X*\s brightcyan
  95. keyword wholeleft linestart X*\t brightcyan
  96. keyword wholeleft linestart Y*\s brightcyan
  97. keyword wholeleft linestart Y*\t brightcyan
  98. keyword wholeleft linestart Z*\s brightcyan
  99. keyword wholeleft linestart Z*\t brightcyan
  100. # model types
  101. keyword whole R white
  102. keyword whole C white
  103. keyword whole L white
  104. keyword whole SW white
  105. keyword whole CSW white
  106. keyword whole URC white
  107. keyword whole LTRA white
  108. keyword whole D white
  109. keyword whole NPN white
  110. keyword whole PNP white
  111. keyword whole NJF white
  112. keyword whole PJF white
  113. keyword whole NMOS white
  114. keyword whole PMOS white
  115. keyword whole NMF white
  116. keyword whole PMF white
  117. keyword whole VDMOS white
  118. # XSPICE models
  119. keyword whole gain white
  120. keyword whole summer white
  121. keyword whole mult white
  122. keyword whole divide white
  123. keyword whole limit white
  124. keyword whole climit white
  125. keyword whole pwl white
  126. keyword whole filesource white
  127. keyword whole multi_input_pwl white
  128. keyword whole aswitch white
  129. keyword whole pswitch white
  130. keyword whole zener white
  131. keyword whole ilimit white
  132. keyword whole hyst white
  133. keyword whole d_dt white
  134. keyword whole int white
  135. keyword whole s_xfer white
  136. keyword whole slew white
  137. keyword whole lcouple white
  138. keyword whole core white
  139. keyword whole sine white
  140. keyword whole triangle white
  141. keyword whole square white
  142. keyword whole oneshot white
  143. keyword whole cmeter white
  144. keyword whole lmeter white
  145. keyword whole memristor white
  146. keyword whole table2D white
  147. keyword whole table3D white
  148. keyword whole sidiode white
  149. keyword whole dac_bridge white
  150. keyword whole adc_bridge white
  151. keyword whole d_osc white
  152. keyword whole d_to_real white
  153. keyword whole real_delay white
  154. keyword whole real_gain white
  155. keyword whole real_to_v white
  156. keyword whole d_buffer white
  157. keyword whole d_inverter white
  158. keyword whole d_and white
  159. keyword whole d_nand white
  160. keyword whole d_or white
  161. keyword whole d_nor white
  162. keyword whole d_xor white
  163. keyword whole d_xnor white
  164. keyword whole d_tristate white
  165. keyword whole d_pullup white
  166. keyword whole d_pulldown white
  167. keyword whole d_dff white
  168. keyword whole d_jkff white
  169. keyword whole d_tff white
  170. keyword whole d_srff white
  171. keyword whole d_dlatch white
  172. keyword whole d_srlatch white
  173. keyword whole d_state white
  174. keyword whole d_fdiv white
  175. keyword whole d_ram white
  176. keyword whole d_source white
  177. keyword whole d_lut white
  178. keyword whole d_genlut white
  179. keyword whole xfer white
  180. # common model parameters
  181. keyword whole m white
  182. context " " green
  183. context exclusive .control .endc
  184. keyword linestart \**\n brown
  185. keyword $*\n brown
  186. keyword ;*\n brown
  187. keyword //*\n brown
  188. keyword linestart \+ red
  189. # commands
  190. keyword whole ac yellow
  191. keyword whole alias yellow
  192. keyword whole alter yellow
  193. keyword whole altermod yellow
  194. keyword whole alterparam yellow
  195. keyword whole asciiplot yellow
  196. keyword whole aspice yellow
  197. keyword whole bug yellow
  198. keyword whole cd yellow
  199. keyword whole cdump yellow
  200. keyword whole circbyline yellow
  201. keyword whole codemodel yellow
  202. keyword whole compose yellow
  203. keyword whole cutout yellow
  204. keyword whole dc yellow
  205. keyword whole define yellow
  206. keyword whole deftype yellow
  207. keyword whole delete yellow
  208. keyword whole destroy yellow
  209. keyword whole devhelp yellow
  210. keyword whole diff yellow
  211. keyword whole display yellow
  212. keyword whole echo yellow
  213. keyword whole edit yellow
  214. keyword whole edisplay yellow
  215. keyword whole eprint yellow
  216. keyword whole eprvcd yellow
  217. keyword whole fft yellow
  218. keyword whole fourier yellow
  219. keyword whole getcwd yellow
  220. keyword whole gnuplot yellow
  221. keyword whole hardcopy yellow
  222. keyword whole help yellow
  223. keyword whole history yellow
  224. keyword whole inventory yellow
  225. keyword whole iplot yellow
  226. keyword whole jobs yellow
  227. keyword whole let yellow
  228. keyword whole linearize yellow
  229. keyword whole listing yellow
  230. keyword whole load yellow
  231. keyword whole mc_source yellow
  232. keyword whole meas yellow
  233. keyword whole mdump yellow
  234. keyword whole mrdump yellow
  235. keyword whole noise yellow
  236. keyword whole op yellow
  237. keyword whole option yellow
  238. keyword whole plot yellow
  239. # FIXME: other pre_* keywords?
  240. keyword whole pre_codemodel yellow
  241. keyword whole pre_set yellow
  242. keyword whole pre_unset yellow
  243. keyword whole print yellow
  244. keyword whole psd yellow
  245. keyword whole quit yellow
  246. keyword whole rehash yellow
  247. keyword whole remcirc yellow
  248. keyword whole remzerovec yellow
  249. keyword whole reset yellow
  250. keyword whole reshape yellow
  251. keyword whole resume yellow
  252. keyword whole rspice yellow
  253. keyword whole run yellow
  254. keyword whole rusage yellow
  255. keyword whole save yellow
  256. keyword whole sens yellow
  257. keyword whole set yellow
  258. keyword whole setcs yellow
  259. keyword whole setcirc yellow
  260. keyword whole setplot yellow
  261. keyword whole setscale yellow
  262. keyword whole setseed yellow
  263. keyword whole settype yellow
  264. keyword whole shell yellow
  265. keyword whole shift yellow
  266. keyword whole show yellow
  267. keyword whole showmod yellow
  268. keyword whole snload yellow
  269. keyword whole snsave yellow
  270. keyword whole source yellow
  271. keyword whole spec yellow
  272. keyword whole status yellow
  273. keyword whole step yellow
  274. keyword whole stop yellow
  275. keyword whole strcmp yellow
  276. keyword whole sysinfo yellow
  277. keyword whole tf yellow
  278. keyword whole trace yellow
  279. keyword whole tran yellow
  280. keyword whole transpose yellow
  281. keyword whole unalias yellow
  282. keyword whole undefine yellow
  283. keyword whole unlet yellow
  284. keyword whole unset yellow
  285. keyword whole version yellow
  286. keyword whole where yellow
  287. keyword whole wrdata yellow
  288. keyword whole write yellow
  289. keyword whole wrs2p yellow
  290. # control structures
  291. keyword whole break brightred
  292. keyword whole continue brightred
  293. keyword whole dowhile brightred
  294. keyword whole else brightred
  295. keyword whole end brightred
  296. keyword whole foreach brightred
  297. keyword whole goto brightred
  298. keyword whole if brightred
  299. keyword whole label brightred
  300. keyword whole repeat brightred
  301. keyword whole then brightred
  302. keyword whole while brightred
  303. # internally predefined variables
  304. keyword whole appendwrite white
  305. keyword whole askquit white
  306. keyword whole batchmode white
  307. # FIXME: N between 0 and 22
  308. keyword wholeleft color*\s white
  309. keyword wholeleft color*\t white
  310. keyword whole controlswait white
  311. keyword whole cpdebug white
  312. keyword whole curplot white
  313. keyword whole curplotdate white
  314. keyword whole curplotname white
  315. keyword whole curplottitle white
  316. keyword whole debug white
  317. keyword whole device white
  318. keyword whole diff_abstol white
  319. keyword whole diff_reltol white
  320. keyword whole diff_vntol white
  321. keyword whole echo white
  322. keyword whole editor white
  323. keyword whole filetype white
  324. keyword whole fourgridsize white
  325. keyword whole gridsize white
  326. keyword whole gridstyle white
  327. keyword whole hcopydev white
  328. keyword whole hcopyfont white
  329. keyword whole hcopyfontsize white
  330. keyword whole hcopydevtype white
  331. keyword whole hcopyscale white
  332. keyword whole hcopywidth white
  333. keyword whole hcopyheight white
  334. keyword whole hcopypscolor white
  335. keyword whole hcopypstxcolor white
  336. keyword whole height white
  337. keyword whole history white
  338. keyword whole inputdir white
  339. keyword whole interactive white
  340. keyword whole lprplot5 white
  341. keyword whole lprps white
  342. keyword whole modelcard white
  343. keyword whole moremode white
  344. keyword whole nfreqs white
  345. keyword whole ngbehavior white
  346. keyword whole ngdebug white
  347. keyword whole ng_nomodcheck white
  348. keyword whole no_auto_gnd white
  349. keyword whole nobjthack white
  350. keyword whole nobreak white
  351. keyword whole noasciiplotvalue white
  352. keyword whole noclobber white
  353. keyword whole noglob white
  354. keyword whole nolegend white
  355. keyword whole nonomatch white
  356. keyword whole noparse white
  357. keyword whole noprintscale white
  358. keyword whole nosavecurrents white
  359. keyword whole nosort white
  360. keyword whole nostepsizelimit white
  361. keyword whole nosubckt white
  362. keyword whole notrnoise white
  363. keyword whole nounits white
  364. keyword whole numdgt white
  365. keyword whole num_threads white
  366. keyword whole oscompiled white
  367. keyword whole plainlet white
  368. keyword whole plainplot white
  369. keyword whole plainwrite white
  370. keyword whole plainstyle white
  371. keyword whole pointchars white
  372. keyword whole polydegree white
  373. keyword whole polysteps white
  374. keyword whole program white
  375. keyword whole prompt white
  376. keyword whole rawfile white
  377. keyword whole remote_shell white
  378. keyword whole renumber white
  379. keyword whole rndseed white
  380. keyword whole rhost white
  381. keyword whole rprogram white
  382. keyword whole sharedmode white
  383. keyword whole sim_status white
  384. keyword whole sourcepath white
  385. keyword whole specwindow white
  386. keyword whole specwindoworder white
  387. keyword whole spicepath white
  388. keyword whole sqrnoise white
  389. keyword whole strict_errorhandling white
  390. keyword whole subend white
  391. keyword whole subinvoke white
  392. keyword whole substart white
  393. keyword whole term white
  394. keyword whole ticchar white
  395. keyword whole ticmarks white
  396. keyword whole ticlist white
  397. keyword whole units white
  398. keyword whole unixcom white
  399. keyword whole wfont white
  400. keyword whole wfont_size white
  401. keyword whole width white
  402. keyword whole win_console white
  403. keyword whole wr_singlescale white
  404. keyword whole wr_vecnames white
  405. keyword whole x11lineararcs white
  406. keyword whole xbrushwidth white
  407. keyword whole xgridwidth white
  408. keyword whole xfont white
  409. keyword whole xtrtol white