Makefile.am 1.7 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104
  1. SYNTAX_IN = Syntax.in
  2. SYNTAX_OUT = Syntax
  3. SYNTAXFILES = \
  4. PKGBUILD.syntax \
  5. ada95.syntax \
  6. as.syntax \
  7. aspx.syntax \
  8. assembler.syntax \
  9. awk.syntax \
  10. c.syntax \
  11. cabal.syntax \
  12. changelog.syntax \
  13. cmake.syntax \
  14. cs.syntax \
  15. css.syntax \
  16. cuda.syntax \
  17. cxx.syntax \
  18. cython.syntax \
  19. d.syntax \
  20. debian-changelog.syntax \
  21. debian-control.syntax \
  22. debian-description.syntax \
  23. debian-sources-list.syntax \
  24. diff.syntax \
  25. dlink.syntax \
  26. dos.syntax \
  27. ebuild.syntax \
  28. eiffel.syntax \
  29. erlang.syntax \
  30. f90.syntax \
  31. filehighlight.syntax \
  32. fortran.syntax \
  33. glsl.syntax \
  34. go.syntax \
  35. haskell.syntax \
  36. hive.syntax \
  37. html.syntax \
  38. idl.syntax \
  39. ini.syntax \
  40. j.syntax \
  41. jal.syntax \
  42. java.syntax \
  43. js.syntax \
  44. latex.syntax \
  45. lisp.syntax \
  46. lkr.syntax \
  47. lsm.syntax \
  48. lua.syntax \
  49. m4.syntax \
  50. mail.syntax \
  51. makefile.syntax \
  52. markdown.syntax \
  53. ml.syntax \
  54. meson.syntax \
  55. named.syntax \
  56. nemerle.syntax \
  57. nroff.syntax \
  58. octave.syntax \
  59. opencl.syntax \
  60. osl.syntax \
  61. pascal.syntax \
  62. perl.syntax \
  63. php.syntax \
  64. po.syntax \
  65. povray.syntax \
  66. procmail.syntax \
  67. properties.syntax \
  68. protobuf.syntax \
  69. puppet.syntax \
  70. python.syntax \
  71. r.syntax \
  72. ruby.syntax \
  73. rust.syntax \
  74. sh.syntax \
  75. slang.syntax \
  76. smalltalk.syntax \
  77. spec.syntax \
  78. sql.syntax \
  79. strace.syntax \
  80. swig.syntax \
  81. syntax.syntax \
  82. tcl.syntax \
  83. texinfo.syntax \
  84. ts.syntax \
  85. tt.syntax \
  86. unknown.syntax \
  87. verilog.syntax \
  88. vhdl.syntax \
  89. xml.syntax \
  90. yabasic.syntax \
  91. yaml.syntax \
  92. yum-repo.syntax \
  93. yxx.syntax
  94. if USE_INTERNAL_EDIT
  95. syntaxdir = $(pkgdatadir)/syntax
  96. syntax_DATA = $(SYNTAX_OUT) \
  97. $(SYNTAXFILES)
  98. endif
  99. EXTRA_DIST = $(SYNTAX_IN) \
  100. $(SYNTAXFILES)