vhdl.syntax 4.6 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191
  1. # Adam Pribyl, based on ADA
  2. # modified: Andrew Borodin
  3. # missing
  4. # literal, on, (something else)
  5. context default
  6. #wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
  7. keyword whole with yellow
  8. keyword whole select yellow
  9. keyword whole use yellow
  10. keyword whole is yellow
  11. keyword whole of yellow
  12. keyword whole length yellow
  13. keyword whole range yellow
  14. keyword whole left yellow
  15. keyword whole right yellow
  16. keyword whole delta yellow
  17. keyword whole return yellow
  18. keyword whole next yellow
  19. keyword whole null yellow
  20. keyword whole array yellow
  21. keyword whole downto yellow
  22. keyword whole to yellow
  23. keyword whole foreign yellow
  24. # comment
  25. keyword -- magenta
  26. # expressions
  27. keyword := brightgreen
  28. keyword . brightgreen
  29. keyword ; brightgreen
  30. keyword : brightgreen
  31. keyword , brightgreen
  32. keyword ' brightgreen
  33. keyword | brightgreen
  34. keyword ( brightgreen
  35. keyword ) brightgreen
  36. keyword [ brightgreen
  37. keyword ] brightgreen
  38. keyword \+ brightgreen
  39. keyword - brightgreen
  40. keyword / brightgreen
  41. keyword \* brightgreen
  42. keyword \*\* brightgreen
  43. keyword # brightgreen
  44. keyword & brightgreen
  45. keyword => brightgreen
  46. keyword < brightgreen
  47. keyword > brightgreen
  48. keyword <= brightgreen
  49. keyword >= brightgreen
  50. keyword = brightgreen
  51. keyword /= brightgreen
  52. # operators and functions
  53. keyword whole sll green
  54. keyword whole srl green
  55. keyword whole sla green
  56. keyword whole sra green
  57. keyword whole rol green
  58. keyword whole ror green
  59. keyword whole rem green
  60. keyword whole mod green
  61. keyword whole not green
  62. keyword whole and green
  63. keyword whole nand green
  64. keyword whole or green
  65. keyword whole xor green
  66. keyword whole nor green
  67. keyword whole xnor green
  68. keyword whole abs green
  69. keyword whole new green
  70. keyword whole begin yellow
  71. keyword whole end yellow
  72. keyword whole exit yellow
  73. keyword whole for yellow
  74. keyword whole while yellow
  75. keyword whole if yellow
  76. keyword whole then yellow
  77. keyword whole else yellow
  78. keyword whole elsif yellow
  79. keyword whole case yellow
  80. keyword whole when yellow
  81. keyword whole with yellow
  82. keyword whole select yellow
  83. keyword whole assert yellow
  84. keyword whole wait yellow
  85. keyword whole open yellow
  86. keyword whole loop yellow
  87. keyword whole until yellow
  88. keyword whole others yellow
  89. keyword whole all yellow
  90. keyword whole block yellow
  91. keyword whole guarded yellow
  92. keyword whole after yellow
  93. keyword whole transport yellow
  94. keyword whole inertial yellow
  95. keyword whole reject yellow
  96. keyword whole unaffected yellow
  97. keyword whole disconnect yellow
  98. # types and related stuff
  99. keyword whole integer cyan
  100. keyword whole natural cyan
  101. keyword whole positive cyan
  102. keyword whole string cyan
  103. keyword whole character cyan
  104. keyword whole boolean cyan
  105. keyword whole real cyan
  106. keyword whole bit cyan
  107. keyword whole bit_vector cyan
  108. keyword whole time cyan
  109. keyword whole units cyan
  110. keyword whole std_logic cyan
  111. keyword whole std_logic_vector cyan
  112. keyword whole severity_level cyan
  113. # boolean values
  114. keyword whole true brightred
  115. keyword whole false brightred
  116. # time values
  117. keyword whole fs brightred
  118. keyword whole ps brightred
  119. keyword whole ns brightred
  120. keyword whole us brightred
  121. keyword whole ms brightred
  122. keyword whole sec brightred
  123. keyword whole min brightred
  124. keyword whole hr brightred
  125. # declarations
  126. keyword whole type brightcyan
  127. keyword whole subtype brightcyan
  128. keyword whole variable yellow
  129. keyword whole shared yellow
  130. keyword whole signal yellow
  131. keyword whole constant yellow
  132. keyword whole group yellow
  133. keyword whole file yellow
  134. keyword whole register yellow
  135. keyword whole port yellow
  136. keyword whole map yellow
  137. keyword whole label yellow
  138. keyword whole record yellow
  139. keyword whole generic yellow
  140. keyword whole alias yellow
  141. keyword whole attribute yellow
  142. # ports
  143. keyword whole in white
  144. keyword whole out white
  145. keyword whole inout white
  146. keyword whole buffer white
  147. keyword whole linkage white
  148. keyword whole bus white
  149. # library units
  150. keyword whole library yellow
  151. keyword whole entity yellow
  152. keyword whole architecture yellow
  153. keyword whole package yellow
  154. keyword whole body yellow
  155. keyword whole procedure yellow
  156. keyword whole function yellow
  157. keyword whole pure yellow
  158. keyword whole impure yellow
  159. keyword whole configuration yellow
  160. keyword whole component yellow
  161. keyword whole generic yellow
  162. keyword whole process yellow
  163. keyword whole postponded yellow
  164. keyword whole generate yellow
  165. # reports
  166. keyword whole report red
  167. keyword whole severity red
  168. keyword whole note red
  169. keyword whole warning red
  170. keyword whole error red
  171. keyword whole failure red
  172. # comment
  173. context exclusive -- \n magenta
  174. context " " green