verilog.syntax 7.3 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240
  1. # This is Cooledit syntax-file for verilog
  2. # Created by Andres Farfan, <nafraf@linuxmail.org>
  3. # Feel free to copy & modify this.
  4. # 09/2004
  5. wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
  6. # default colors
  7. context default
  8. #Preprocessor keywords
  9. keyword whole `resetall brightred/
  10. keyword whole `define brightred/
  11. keyword whole `timescale brightred/
  12. keyword whole `ifdef brightred/
  13. keyword whole `else brightred/
  14. keyword whole `endif brightred/
  15. keyword whole `include brightred/
  16. keyword whole `signed brightred/
  17. keyword whole `unsigned brightred/
  18. keyword whole `celldefine brightred/
  19. keyword whole `endcelldefine brightred/
  20. keyword whole `default_nettype brightred/
  21. keyword whole `unconnected_drive brightred/
  22. keyword whole `nounconnected_drive brightred/
  23. keyword whole `accelerate brightred/
  24. keyword whole `noaccelerate brightred/
  25. keyword whole `protect brightred/
  26. keyword whole `endprotect brightred/
  27. keyword whole `protected brightred/
  28. keyword whole `endprotected brightred/
  29. keyword whole `expand_vectornets brightred/
  30. keyword whole `noexpand_vectornets brightred/
  31. keyword whole `autoexpand_vectornets brightred/
  32. keyword whole `remove_gatenames brightred/
  33. keyword whole `noremove_gatenames brightred/
  34. keyword whole `remove_netnames brightred/
  35. keyword whole `noremove_netnames brightred/
  36. #Reserved Keywords 1
  37. keyword whole always yellow
  38. keyword whole and yellow
  39. keyword whole assign yellow
  40. keyword whole attribute yellow
  41. keyword whole begin yellow
  42. keyword whole buf yellow
  43. keyword whole bufif0 yellow
  44. keyword whole bufif1 yellow
  45. keyword whole case yellow
  46. keyword whole casex yellow
  47. keyword whole casez yellow
  48. keyword whole cmos yellow
  49. keyword whole deassign yellow
  50. keyword whole default yellow
  51. keyword whole defparam yellow
  52. keyword whole disable yellow
  53. keyword whole edge yellow
  54. keyword whole else yellow
  55. keyword whole end yellow
  56. keyword whole endattribute yellow
  57. keyword whole endcase yellow
  58. keyword whole endmodule yellow
  59. keyword whole endfunction yellow
  60. keyword whole endprimitive yellow
  61. keyword whole endspecify yellow
  62. keyword whole endtable yellow
  63. keyword whole endtask yellow
  64. keyword whole event yellow
  65. keyword whole for yellow
  66. keyword whole force yellow
  67. keyword whole forever yellow
  68. keyword whole fork yellow
  69. keyword whole function yellow
  70. keyword whole highz0 yellow
  71. keyword whole highz1 yellow
  72. keyword whole if yellow
  73. keyword whole initial yellow
  74. keyword whole inout yellow
  75. keyword whole input yellow
  76. keyword whole integer yellow
  77. keyword whole join yellow
  78. keyword whole large yellow
  79. keyword whole macromodule yellow
  80. keyword whole medium yellow
  81. keyword whole module yellow
  82. keyword whole nand yellow
  83. keyword whole negedge yellow
  84. keyword whole nmos yellow
  85. keyword whole nor yellow
  86. keyword whole not yellow
  87. keyword whole notif0 yellow
  88. keyword whole notif1 yellow
  89. keyword whole or yellow
  90. keyword whole output yellow
  91. keyword whole parameter yellow
  92. keyword whole pmos yellow
  93. keyword whole posedge yellow
  94. keyword whole primitive yellow
  95. keyword whole pull0 yellow
  96. keyword whole pull1 yellow
  97. keyword whole pullup yellow
  98. keyword whole pulldown yellow
  99. keyword whole rcmos yellow
  100. keyword whole reg yellow
  101. keyword whole release yellow
  102. keyword whole repeat yellow
  103. keyword whole rnmos yellow
  104. keyword whole rpmos yellow
  105. keyword whole rtran yellow
  106. keyword whole rtranif0 yellow
  107. keyword whole rtranif1 yellow
  108. keyword whole scalared yellow
  109. keyword whole small yellow
  110. keyword whole specify yellow
  111. keyword whole specparam yellow
  112. keyword whole strength yellow
  113. keyword whole strong0 yellow
  114. keyword whole strong1 yellow
  115. keyword whole supply0 yellow
  116. keyword whole supply1 yellow
  117. keyword whole table yellow
  118. keyword whole task yellow
  119. keyword whole time yellow
  120. keyword whole tran yellow
  121. keyword whole tranif0 yellow
  122. keyword whole tranif1 yellow
  123. keyword whole tri yellow
  124. keyword whole tri0 yellow
  125. keyword whole tri1 yellow
  126. keyword whole triand yellow
  127. keyword whole trior yellow
  128. keyword whole trireg yellow
  129. keyword whole use yellow
  130. keyword whole vectored yellow
  131. keyword whole wait yellow
  132. keyword whole wand yellow
  133. keyword whole weak0 yellow
  134. keyword whole weak1 yellow
  135. keyword whole while yellow
  136. keyword whole wire yellow
  137. keyword whole wor yellow
  138. keyword whole xnor yellow
  139. keyword whole xor yellow
  140. #Reserved Keywords 2
  141. keyword whole $bitstoreal yellow
  142. keyword whole $countdrivers yellow
  143. keyword whole $display yellow
  144. keyword whole $dumpall yellow
  145. keyword whole $dumpfile yellow
  146. keyword whole $dumpflush yellow
  147. keyword whole $dumpoff yellow
  148. keyword whole $dumpon yellow
  149. keyword whole $dumpvars yellow
  150. keyword whole $fclose yellow
  151. keyword whole $fdisplay yellow
  152. keyword whole $finish yellow
  153. keyword whole $fmonitor yellow
  154. keyword whole $fopen yellow
  155. keyword whole $fstrobe yellow
  156. keyword whole $fwrite yellow
  157. keyword whole $getpattern yellow
  158. keyword whole $history yellow
  159. keyword whole $hold yellow
  160. keyword whole $incsave yellow
  161. keyword whole $input yellow
  162. keyword whole $itor yellow
  163. keyword whole $key yellow
  164. keyword whole $list yellow
  165. keyword whole $log yellow
  166. keyword whole $monitor yellow
  167. keyword whole $monitoroff yellow
  168. keyword whole $monitoron yellow
  169. keyword whole $nokey yellow
  170. keyword whole $nolog yellow
  171. keyword whole $period yellow
  172. keyword whole $printtimescale yellow
  173. keyword whole $readmemb yellow
  174. keyword whole $readmemh yellow
  175. keyword whole $realtime yellow
  176. keyword whole $realtobits yellow
  177. keyword whole $recovery yellow
  178. keyword whole $reset yellow
  179. keyword whole $reset_count yellow
  180. keyword whole $reset_value yellow
  181. keyword whole $restart yellow
  182. keyword whole $rtoi yellow
  183. keyword whole $save yellow
  184. keyword whole $scale yellow
  185. keyword whole $scope yellow
  186. keyword whole $setup yellow
  187. keyword whole $setuphold yellow
  188. keyword whole $showscopes yellow
  189. keyword whole $showvariables yellow
  190. keyword whole $showvars yellow
  191. keyword whole $skew yellow
  192. keyword whole $sreadmemb yellow
  193. keyword whole $sreadmemh yellow
  194. keyword whole $stime yellow
  195. keyword whole $stop yellow
  196. keyword whole $strobe yellow
  197. keyword whole $time yellow
  198. keyword whole $timeformat yellow
  199. keyword whole $width yellow
  200. keyword whole $write yellow
  201. keyword > yellow
  202. keyword < yellow
  203. keyword \+ yellow
  204. keyword - yellow
  205. keyword \* yellow
  206. keyword / yellow
  207. keyword % yellow
  208. keyword = yellow
  209. keyword != yellow
  210. keyword == yellow
  211. keyword { brightcyan
  212. keyword } brightcyan
  213. keyword ( brightcyan
  214. keyword ) brightcyan
  215. keyword [ brightcyan
  216. keyword ] brightcyan
  217. keyword , brightcyan
  218. keyword . brightcyan
  219. keyword : brightcyan
  220. keyword ? brightcyan
  221. keyword ; brightmagenta
  222. context exclusive /\* \*/ brown
  223. spellcheck
  224. context exclusive // \n brown
  225. spellcheck
  226. context " " green/